基于LOG100的简单模式对数放大电路

出处:李冬发时间:2011-08-26
  如图所示为LOG100的简单模式对数放大电路
  信号输入电流I1、I2经过LOG100变换为对数输出VOUT=K·LOG(I1/I2)。



  
上一篇:利用DS1841对数电位器调节APD偏置范围分析
下一篇:新型简易采样保持电路

免责声明: 凡注明来源本网的所有作品,均为本网合法拥有版权或有权使用的作品,欢迎转载,注明出处。非本网作品均来自互联网,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。

相关电路图